AN 987: Djelomično statičko ažuriranje
Vodič za rekonfiguraciju
Vodič za djelomičnu rekonfiguraciju statičkog ažuriranja za Intel® ™ Agilex F-Series FPGA razvojnu ploču
Ova bilješka o aplikaciji demonstrira djelomičnu rekonfiguraciju statičkog ažuriranja (SUPR) na Intel ® F-Series FPGA razvojnoj ploči. Djelomična rekonfiguracija (PR) omogućuje vam da dinamički rekonfigurirate dio Intel FPGA, dok preostali FPGA nastavlja raditi. PR implementira više osoba u određenoj regiji u vašem dizajnu, bez utjecaja na rad u područjima izvan ove regije. Ova metodologija pruža sljedeće prednostitages u sustavima u kojima više funkcija vremenski dijele iste FPGA resurse:
- Omogućuje rekonfiguraciju tijekom izvođenja
- Povećava skalabilnost dizajna
- Smanjuje vrijeme prekida rada sustava
- Podržava funkcije dinamičkog vremenskog multipleksiranja u dizajnu
- Smanjuje troškove i potrošnju energije učinkovitim korištenjem prostora na ploči
Što je djelomična rekonfiguracija statičkog ažuriranja?
U tradicionalnom PR-u, svaka promjena statične regije zahtijeva ponovno kompajliranje svake osobe. Međutim, pomoću SUPR-a možete definirati specijaliziranu regiju koja dopušta promjenu, bez potrebe za ponovnom kompilacijom persona. Ova tehnika je korisna za dio dizajna koji možda želite promijeniti radi smanjenja rizika, ali to nikada ne zahtijeva rekonfiguraciju vremena izvođenja.
1.1. Zahtjevi za poduku
Ovaj vodič zahtijeva sljedeće:
- Osnovno poznavanje tijeka implementacije i projekta Intel Quartus® Prime Pro Edition FPGA files.
- Instalacija Intel Quartus Prime Pro Edition verzije 22.3, s podrškom za Intel Agilex uređaje.
- Za implementaciju FPGA, JTAG povezivanje s Intel Agilex F-Series FPGA razvojnom pločom na stolu.
- Preuzmite referentni dizajn Files. Povezane informacije
- Korisnički priručnik za djelomičnu rekonfiguraciju
- Vodiči za djelomičnu rekonfiguraciju
- Online obuka za djelomičnu rekonfiguraciju
Intel Corporation. Sva prava pridržana. Intel, Intelov logotip i druge Intelove oznake zaštitni su znakovi Intel Corporation ili njegovih podružnica. Intel jamči performanse svojih FPGA i poluvodičkih proizvoda prema trenutnim specifikacijama u skladu s Intelovim standardnim jamstvom, ali zadržava pravo izmjene bilo kojeg proizvoda i usluge u bilo koje vrijeme bez prethodne najave. Intel ne preuzima nikakvu odgovornost niti obvezu proizašlu iz primjene ili upotrebe bilo koje informacije, proizvoda ili usluge opisane ovdje, osim ako je Intel izričito pismeno pristao. Intelovim kupcima savjetuje se da nabave najnoviju verziju specifikacija uređaja prije nego što se pouzdaju u bilo kakve objavljene informacije i prije naručivanja proizvoda ili usluga. *Druga imena i robne marke mogu se smatrati vlasništvom drugih.
ISO 9001:2015 Registriran
1.2. Referentni dizajn završenview
Ovaj referentni dizajn sastoji se od jednog, 32-bitnog brojača. Na razini ploče, dizajn povezuje sat s izvorom od 50MHz, a zatim spaja izlaz na četiri LED diode na ploči. Odabir izlaza iz bitova brojača, u određenom nizu, uzrokuje treptanje LED dioda na određenoj frekvenciji. Modul top_counter je SUPR regija.
Slika 1. Ravni referentni dizajn
1.3. Statičko ažuriranje regije završenoview
Sljedeća slika prikazuje blok dijagram za PR dizajn koji uključuje SUPR regiju. Blok A je gornje statičko područje. Blok B je SUPR regija. Blok C je PR particija.
Slika 2. PR dizajn sa SUPR regijom

- Gornje statičko područje—sadrži logiku dizajna koja se ne mijenja. Promjena ove regije zahtijeva ponovno kompiliranje svih povezanih osoba. Statička regija uključuje dio dizajna koji se ne mijenja ni za jednu osobu. Ova regija može uključivati periferne i osnovne resurse uređaja. Morate registrirati svu komunikaciju između SUPR i PR particija u statičkoj regiji. Ovaj zahtjev pomaže osigurati vremensko zatvaranje za sve osobe, s obzirom na statičku regiju.
- B SUPR regija—sadrži logiku samo za jezgru koja se eventualno može promijeniti radi smanjenja rizika, ali nikada ne zahtijeva rekonfiguraciju vremena izvođenja. SUPR regija ima iste zahtjeve i ograničenja kao PR particija. SUPR particija može sadržavati samo osnovne resurse. Stoga SUPR particija mora biti particija dijete korijenske particije najviše razine koja sadrži periferiju dizajna i satove. Promjena SUPR regije proizvodi SRAM objekt File (.sof) koji je kompatibilan sa svim postojećim kompajliranim Raw Binary File (.rbf) files za PR particiju C.
- C PR particija—sadrži proizvoljnu logiku koju možete reprogramirati tijekom izvođenja bilo kojom logikom dizajna koja odgovara i postiže vremensko zatvaranje tijekom kompilacije.
1.4. Preuzmite referentni dizajn Files
Vodič za djelomičnu rekonfiguraciju dostupan je na sljedećem mjestu: https://github.com/intel/fpga-partial-reconfig
Za preuzimanje vodiča:
- Pritisnite Kloniraj ili preuzmi.
- Pritisnite Download ZIP. Raspakirajte fpga-partial-reconfig-master.zip file.
- Dođite do podmape tutorials/agilex_pcie_devkit_blinking_led_supr za pristup referentnom dizajnu.
Ravna mapa sastoji se od sljedećeg files:
Tablica 1. Referentni dizajn Files
| File Ime | Opis |
| vrh. sv | Najviša razina file koji sadrži ravnu implementaciju dizajna. Ovaj modul instancira blinking_led podparticiju i top_counter modul. |
| t op_brojač. sv | 32-bitni brojač najviše razine koji izravno kontrolira LED [1]. Registrirani izlaz brojača kontrolira LED [0], a također napaja LED [2] i LED [3] preko blinking_led modula. |
| blinking_led. sdc | Definira vremenska ograničenja za projekt. |
| blinking_led. sv | U ovom vodiču pretvarate ovaj modul u nadređenu PR particiju. Modul prima registrirani izlaz top_counter modula, koji kontrolira LED [2] i LED [3]. |
| blinking_led.qpf | Projekt Intel Quartus Prime file koji sadrži popis svih revizija u projektu. |
| blinking_led . qs f | Intel Quartus Prime postavke file koji sadrži zadatke i postavke za projekt. |
Bilješka: Mapa supr sadrži kompletan skup filestvarate pomoću ove aplikacije bilješka. Navedite ove files u bilo kojem trenutku tijekom prolaska.
1.5. Vodič kroz referentni dizajn
Sljedeći koraci opisuju implementaciju SUPR-a s ravnim dizajnom:
- Korak 1: Početak
- Korak 2: Stvorite dizajnerske particije
- Korak 3: Dodijelite položaje i regije usmjeravanja
- Korak 4: Definirajte osobe
- Korak 5: Napravite revizije
- Korak 6: Sastavite osnovnu reviziju
- Korak 7: Postavite revizije implementacije PR-a
- Korak 8: Promijenite SUPR logiku
- Korak 9: Programirajte ploču
Slika 3. Tijek SUPR kompilacije

1.5.1. Korak 1: Početak
Za kopiranje referentnog dizajna files u svoje radno okruženje i sastavite blinking_led ravni dizajn:
- Prije nego počnete, preuzmite referentni dizajn Files na stranici 5.
- Stvorite direktorij agilex_pcie_devkit_blinking_led_supr u svom radnom okruženju.
- Kopirajte preuzetu podmapu tutorials/agilex_pcie_devkit_blinking_led/flat u direktorij agilex_pcie_devkit_blinking_led_supr.
- U softveru Intel Quartus Prime Pro Edition kliknite File ➤ Otvorite Project i otvorite /flat/blinking_led.qpf.
- Za kompajliranje osnovnog dizajna kliknite Processing ➤ Start Compilation. Izvješća Timing Analyzer otvaraju se automatski kada kompilacija završi. Za sada možete zatvoriti Timing Analyzer.
1.5.2. Korak 2: Stvorite dizajnerske particije
Stvorite particije dizajna za svaku regiju koju želite djelomično rekonfigurirati. Možete stvoriti bilo koji broj nezavisnih particija ili PR regija u svom projektu. Slijedite ove korake za stvaranje particija dizajna za instancu u_blinking_led kao PR particiju i instancu u_top_counter kao SUPR regiju:
- Desnom tipkom miša kliknite instancu u_blinking_led u Navigatoru projekta i kliknite Dizajn particije
➤ Mogućnost rekonfiguracije. Ikona particije dizajna pojavljuje se pored svake instance koja je postavljena kao particija.
Slika 4. Stvaranje particija dizajna
- Ponovite korak 1 za stvaranje particije za instancu u_top_counter.
- Pritisnite Assignments ➤ Design Partitions Window. Prozor prikazuje sve particije dizajna u projektu.
Slika 5. Prozor za dizajn particija

- Dvaput kliknite blinking_led ćeliju Naziv particije da je preimenujete u pr_partition. Slično, preimenujte particiju top_counter u supr_partition.
Alternativno, dodavanjem sljedećih redaka u blinking_led.qsf stvaraju se ove particije:
set_instance_assignment -name PARTITION pr_partition \ -to u_blinking_led -entity vrh
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -vrh entiteta
set_instance_assignment -name PARTICIJA supr_partition \ -to u_top_counter -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_top_counter -vrh entiteta
1.5.3. Korak 3: Dodijelite položaje i regije usmjeravanja
Za svaku osnovnu reviziju koju stvorite, kompajler koristi dodjelu PR particione regije da smjesti odgovarajuću jezgru osobe u rezerviranu regiju. Slijedite ove korake kako biste locirali i dodijelili PR regiju u tlocrtu uređaja za svoju osnovnu reviziju:
- Na kartici Hijerarhija Navigatora projekta desnom tipkom miša kliknite instancu u_blinking_led, a zatim kliknite Područje logičkog zaključavanja ➤ Stvori novo područje zaključavanja logike. Regija se pojavljuje u prozoru Logic Lock Regions.
- Odredite širinu regije od 5 i visinu od 5.
- Navedite koordinate regije postavljanja za u_blinking_led u stupcu Origin. Ishodište odgovara donjem lijevom kutu regije. Navedite porijeklo kao X166_Y199. Kompajler izračunava (X170 Y203) kao gornju desnu koordinatu.
- Omogućite opcije Reserved i Core-Only za regiju.
- Dvaput pritisnite opciju Routing Region. Pojavljuje se dijaloški okvir Logic Lock Routing Region Settings.
- Za Routing Type odaberite Fixed with expansion. Ova opcija automatski dodjeljuje duljinu proširenja od jedan.
- Ponovite prethodne korake da dodijelite sljedeće resurse za particiju u_top_counter:
• Visina—5
• Širina—5
• Podrijetlo—X173_Y199
• Područje usmjeravanja— Popravljeno s proširenjem s duljinom proširenja od jedan.
• Rezervirano—uključeno
• Samo jezgra—uključeno
Slika 6. Prozor Logic Lock Regions
Bilješka: Područje usmjeravanja mora biti veće od područja postavljanja kako bi se osigurala dodatna fleksibilnost za usmjeravanje prevoditeljatage, kada kompajler usmjerava različite osobe. - Vaše područje postavljanja mora uključivati blinking_led logiku. Za odabir regije postavljanja lociranjem čvora u Chip Planneru, desnom tipkom miša kliknite naziv regije u_blinking_led u prozoru Logic Lock Regions, a zatim kliknite Locate Node ➤ Locate in Chip Planner.
- U odjeljku Izvješća o particijama dvaput kliknite na Particije dizajna izvješća. Chip Planner ističe i bojom označava regiju.
Slika 7. Lokacija čvora planera čipova za blinking_led
Alternativno, dodavanjem sljedećih redaka u blinking_led.qsf stvaraju se ove regije:
set_instance_assignment -name PARTICIJA pr_partition -to \ u_blinking_led -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -vrh entiteta
set_instance_assignment -name PARTICIJA supr_partition -to u_top_counter \ -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -to \ u_top_counter -entity top
set_instance_assignment -name PLACE_REGION “X166 Y199 X170 Y203” -to \ u_blinking_led
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name REGION_NAME pr_partition -to u_blinking_led
set_instance_assignment -name ROUTE_REGION “X165 Y198 X171 Y204” -to \ u_blinking_led
set_instance_assignment -name RESERVE_ROUTE_REGION OFF -to u_blinking_led
set_instance_assignment -name PLACE_REGION “X173 Y199 X177 Y203” -to \ u_top_counter
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name REGION_NAME supr_partition -to u_top_counter
set_instance_assignment -name ROUTE_REGION “X172 Y198 X178 Y204” -to \ u_top_counter
set_instance_assignment -name RESERVE_ROUTE_REGION OFF -to u_top_counter
1.5.4. Korak 4: Definirajte osobe
Ovaj referentni dizajn definira tri odvojene osobe za jednu PR particiju i jednu SUPR osobu za SUPR regiju. Slijedite ove korake kako biste definirali i uključili te osobe u svoj projekt. Ako koristite Intel Quartus Prime uređivač teksta, onemogućite Dodaj file
na trenutni projekt prilikom spremanja files.
- Napravite novi blinking_led_slow.sv, blinking_led_empty.sv i top_counter_fast.sv SystemVerilog files u vašem radnom imeniku. Potvrdite da je blinking_led.sv već prisutan u radnom direktoriju.
- Unesite sljedeće sadržaje za SystemVerilog files:
Tablica 2. Persone referentnog dizajna SystemVerilog
File Ime Opis Kodirati blinking_led_slow. sv LED svjetla sporije trepću vremenska skala 1 ps / 1 ps 'default_nettype ništa
modul blinking_led_slow // sat
sat ulazne žice, resetiranje ulazne žice, ulazna žica [31:01 brojač,
// Kontrolni signali za LED izlaznu žicu led_two_on,
izlazna žica led_three_on localparam COUNTER_TAP = 27;
reg led_dva_na_r; noga led_tri_na_r; dodijeliti led_dva_na = led_dva_na_r; dodijeliti led_tri_uključeno = led_tri_uključeno_r; always_ff @(posedge clock) begin led_two_on_r <= counter[COUNTER_TAP]; led_tri_na_r <= brojač[COUNTER_TAP]; krajnji krajnji modulblinking_led_empty. sv LED diode ostaju UKLJUČENE vremenska skala 1 ps / 1 ps 'default_nettype none module blinking_led_empty( // sat ulazna žica sat, resetiranje ulazne žice, ulazna žica [31:01 brojač, // Kontrolni signali za LEC- izlazna žica led_two_on, izlazna žica led_three_on nastavak… File Ime Opis Kodirati // LED je aktivan nisko dodijelite led_two_on = l'IDO; dodijeliti led_three_on = 11b0; krajnji modul top_counter_fast.sv Drugi SUPR 'vremenska skala 1 ps / 1 ps persona Thdefault_nettype nijedan modul top_counter_fast // Upravljački signali za LED izlaznu žicu led_one_on, izlaznu žicu [31:0] broj, // sat ulaznu žicu sat ) ; lokalniparam KONTROLA SLAVINA = 23; reg [31:0] broj_d; dodijeliti broj = broj_d; dodijeli led_one_on = ount_d[COUNTER_TAP]; always_ff @(posedge sat) početak count_d <= count_d + 2; kraj .:modul - Klik File ➤ Save As i spremite .sv files u trenutnom direktoriju projekta.
1.5.5. Korak 5: Napravite revizije
Tijek PR dizajna koristi značajku revizije projekta u softveru Intel Quartus Prime. Vaš početni dizajn je osnovna revizija, gdje definirate statičke granice regije i rekonfigurabilne regije na FPGA. Iz osnovne revizije stvarate dodatne revizije. Ove revizije sadrže različite implementacije za PR regije. Međutim, sve revizije implementacije PR-a koriste isti položaj najviše razine i rezultate usmjeravanja iz osnovne revizije. Da biste sastavili PR dizajn, izradite reviziju PR implementacije za svaku osobu. Osim toga, morate dodijeliti vrstu revizije Djelomična rekonfiguracija – Osnovna ili Djelomična rekonfiguracija – Implementacija osobe za svaku od revizija. Sljedeća tablica navodi naziv revizije i vrstu revizije za svaku od revizija. Revizija impl_blinking_led_supr_new.qsf je SUPR persona implementacija.
Tablica 3. Nazivi i tipovi revizija
| Naziv revizije | Vrsta revizije |
| blinking_led | Djelomična rekonfiguracija – baza |
| blinking_led_default | Djelomična rekonfiguracija – Implementacija Persona |
| blinking_led_slow | Djelomična rekonfiguracija – Implementacija Persona |
| blinking_led_empty | Djelomična rekonfiguracija – Implementacija Persona |
| impl_blinking_led_supr_novo | Djelomična rekonfiguracija – Implementacija Persona |
1.5.5.1. Postavljanje osnovne revizije
Slijedite ove korake da postavite blinking_led kao osnovnu reviziju:
- Pritisnite Projekt ➤ Revizije.
- Za vrstu revizije odaberite Djelomična rekonfiguracija – Osnovna.
Ovaj korak dodaje sljedeće u blinking_led.qsf:
##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
1.5.5.2. Stvaranje revizija implementacije
Slijedite ove korake za izradu revizija implementacije:
- U dijaloškom okviru Revizije dvokliknite < >.
- U nazivu revizije navedite blinking_led_default i odaberite blinking_led za Na temelju revizije.
- Za vrstu revizije odaberite Djelomična rekonfiguracija – Implementacija osobe.
- Onemogućite opciju Postavi kao trenutnu reviziju.
- Ponovite korake od 2 do 5 da postavite vrstu revizije za ostale revizije implementacije:
| Naziv revizije | Vrsta revizije | Na temelju Revision |
| blinking_led_slow | Djelomična rekonfiguracija – Implementacija Persona | blinking_led |
| blinking_led_empty | Djelomična rekonfiguracija – Implementacija Persona | blinking_led |
| impl_blinking_led_supr_novo | Djelomična rekonfiguracija – Implementacija Persona | blinking_led |
Slika 8. Stvaranje revizija implementacije
Svaki .qsf file sada sadrži sljedeći zadatak:
set_global_assignment -name REVIZIJA_TYPE PR_IMPL
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_top_counter
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_blinking_led
1.5.6. Korak 6: Sastavite osnovnu reviziju
Slijedite ove korake za kompajliranje osnovne revizije i izvoz statičkih i SUPR regija za kasniju upotrebu u revizijama implementacije za nove PR osobe:
- Postavite blinking_led kao trenutnu reviziju ako već nije postavljena.
- U prozoru za dizajn particija kliknite (…) uz najudaljeniji desni stupac i omogućite Post Final Export File stupac. Također možete onemogućiti ili promijeniti redoslijed stupaca.
- Za automatski izvoz konačne snimke particija dizajna PR implementacije nakon svake kompilacije, odredite sljedeće za Post Final Export File opcije za root i SUPR particije. .qdb files izvoz u direktorij projekta prema zadanim postavkama.
• root_partition—blinking_led_static.qdb
• supr_partition—blinking_led_supr_partition_final.qdb
Slika 9. Automatski izvoz u prozoru dizajn particija
Alternativno, sljedeća .qsf dodjela automatski izvozi particije nakon svake kompilacije:
set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | -vrh entiteta
set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_supr_partition_final.qdb -to u_top_counter \ -entity top - Za kompajliranje blinking_led osnovne revizije kliknite Obrada ➤ Start
Kompilacija. Alternativno, možete koristiti sljedeću naredbu za kompajliranje ove revizije:
quartus_sh –flow compile blinking_led -c blinking_led Nakon uspješne kompilacije, sljedeće filese pojavljuju u direktoriju projekta:
• blinking_led.sof
• blinking_led.pr_partition.rbf
• blinking_led.supr_partition.rbf
• blinking_led_static.qdb
• blinking_led_supr_partition_final.qdb
1.5.7. Korak 7: Postavite revizije implementacije PR-a
Morate pripremiti revizije PR implementacije prije nego što možete generirati PR bitstream za programiranje uređaja. Ova postavka uključuje dodavanje statičke regije .qdb file kao izvor file za svaku reviziju implementacije. Osim toga, morate navesti
odgovarajući entitet PR regije. Slijedite ove korake za postavljanje revizija implementacije PR-a:
- Za postavljanje trenutne revizije kliknite Projekt ➤ Revizije, odaberite blinking_led_default kao naziv revizije, a zatim kliknite Postavi trenutnu. Alternativno, možete odabrati trenutnu reviziju na glavnoj alatnoj traci Intel Quartus Prime.
- Za provjeru ispravnog izvora za ovu reviziju implementacije kliknite Projekt ➤ Dodaj/ukloni Fileu projektu. Potvrdite da blinking_led.sv file pojavljuje se u file popis.

- Da provjerite točan izvor file za revizije implementacije kliknite Projekt ➤ Dodaj/Ukloni files u Projectu i dodajte sljedeći izvor files za revizije implementacije. Ako postoji, uklonite blinking_led.sv s popisa projekata files.
IIme revizije implementacije Izvor File blinking_led_empty blinking_led_empty.sv blinking_led_slow blinking_led_slow.sv - Postavite blinking_led_default kao trenutnu reviziju.
- Za navođenje .qdb file kao izvor za root_partition kliknite Assignments ➤ Design Partitions Window. Dvaput kliknite particijsku bazu podataka File ćeliju i odredite blinking_led_static.qdb file.
- Slično, odredite blinking_led_supr_partition_final.qdb kao particijsku bazu podataka File za supr_particiju.
Slika 10.
Alternativno, upotrijebite sljedeće .qsf dodjele da navedete .qdb:
set_instance_assignment -name QDB_FILE_PARTICIJA \ blinking_led_static.qdb -to |
set_instance_assignment -name QDB_FILE_PARTICIJA \ blinking_led_supr_partition_final.qdb -to u_top_counter - U prozoru Design Partitions kliknite (…) uz najudaljeniji desni stupac i omogućite stupac Ponovno povezivanje entiteta.
-
U ćeliji Ponovno povezivanje entiteta navedite novi naziv entiteta za PR particiju koju mijenjate u trenutnoj reviziji implementacije. Za reviziju implementacije blinking_led_default, naziv entiteta je blinking_led. U ovom slučaju, vi prepisujete u_blinking_led instancu iz kompilacije osnovne revizije novim entitetom blinking_led. Za ostale revizije implementacije pogledajte sljedeću tablicu:
Revizija Vrijednost ponovnog povezivanja entiteta blinking_led_slow blinking_led_slow blinking_led_empty blinking_led_empty Slika 11. Ponovno povezivanje entiteta
Alternativno, možete koristiti sljedeće retke u .qsf svake revizije za postavljanje dodjela:
##blinking_led_default.qsf
set_instance_assignment -name ENTITY_REBINDING blinking_led \ -to u_blinking_led
##blinking_led_slow.qsf
set_instance_assignment -name ENTITY_REBINDING blinking_led_slow \ -to u_blinking_led
##blinking_led_empty.qsf
set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - Izbrišite tekst place_holdera iz ćelije ponovnog povezivanja entiteta za supr_partition.
- Za kompajliranje dizajna kliknite Obrada ➤ Pokreni kompilaciju. Alternativno, upotrijebite sljedeću naredbu za kompajliranje ovog projekta: quartus_sh –flow kompilacija blinking_led –c blinking_led_default
- Ponovite korake od 4 do 11 da pripremite i kompajlirate blinking_led_slow i blinking_led_empty revizije implementacije.
1.5.8. Korak 8: Promijenite SUPR logiku
Za promjenu funkcionalnosti logike unutar SUPR particije, morate promijeniti izvor SUPR particije. Dovršite sljedeće korake da biste zamijenili instancu u_top_counter u SUPR particiji s entitetom top_counter_fast.
- Da biste postavili reviziju implementacije SUPR-a kao trenutnu, kliknite Projekt ➤ Revizije i postavite impl_blinking_led_supr_new kao trenutnu reviziju ili odaberite
reviziju na glavnoj alatnoj traci Intel Quartus Prime. - Da provjerite točan izvor file za reviziju implementacije kliknite Projekt ➤
Dodaj/Ukloni fileu Projektu i potvrdite da je top_counter_fast.sv izvor za impl_blinking_led_supr_new reviziju implementacije. Ako postoji, uklonite top_counter.sv s popisa projekata files.
- Za navođenje .qdb file povezanu s korijenskom particijom, kliknite Assignments ➤ Design Partitions Window, a zatim dvaput pritisnite Partition Database File ćelija za navođenje blinking_led_static.qdb.
Alternativno, upotrijebite sljedeću naredbu da ovo dodijelite file: set_instance_assignment -name QDB_FILE_PARTICIJA \ blinking_led_static.qdb -to | - U ćeliji Entity Re-binding za pr_partition navedite odgovarajući naziv entiteta. Za ovu bivšuample, navedite blinking_led_empty entitet. U ovom slučaju, prepisujete u_blinking_led instancu iz kompilacije osnovne revizije s novim entitetom linking_led_empty. Sljedeći red sada postoji u .qsf:
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - U ćeliji Entity Re-binding za supr_partition navedite top_counter_fast entitet. top_counter_fast je naziv statičkog entiteta koji zamjenjuje u_top_counter kada završite SUPR.
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING top_counter_fast \ -to u_top_counter - Za kompajliranje dizajna kliknite Obrada ➤ Pokreni kompilaciju. Alternativno, koristite sljedeću naredbu za kompajliranje ove revizije projekta: quartus_sh –flow kompilacija blinking_led –c \ impl_blinking_led_supr_new
1.5.9. Korak 9: Programirajte ploču
Slijedite ove korake za povezivanje i programiranje Intel Agilex F-serije FPGA razvojne ploče.
- Spojite napajanje na Intel Agilex F-Series FPGA razvojnu ploču.
- Spojite USB kabel između USB priključka vašeg računala i USB hardvera za programiranje na razvojnoj ploči.
- Otvorite softver Intel Quartus Prime, a zatim pritisnite Alati ➤ Programer. Pogledajte Programiranje razvojne ploče.
- U programatoru kliknite Postavljanje hardvera, a zatim odaberite USB-Blaster.
- Pritisnite Auto Detect, a zatim odaberite uređaj AGFB014R24B.
- Pritisnite OK. Softver Intel Quartus Prime otkriva i ažurira programator s tri FPGA uređaja na ploči.
- Odaberite uređaj AGFB014R24B, kliknite Promijeni File, i učitajte blinking_led_default.sof file.
- Omogućite program/konfiguraciju za blinking_led_default.sof file.
- Pritisnite Start i pričekajte da traka napretka dosegne 100%.
- Promatrajte LED diode na ploči kako trepću.
- Da biste programirali samo PR regiju, desnom tipkom miša kliknite blinking_led_default.sof file u Programeru i kliknite Dodaj PR programiranje File. Odaberite blinking_led_slow.pr_partition.rbf file.
- Onemogućite program/konfiguraciju za blinking_led_default.sof file.
- Omogući program/konfiguraciju za blinking_led_slow.pr_partition.rbf file, a zatim pritisnite Start. Na ploči promatrajte LED [0] i LED [1] koji nastavljaju treptati. Kada traka napretka dosegne 100%, LED[2] i LED[3] trepere sporije.
- Za ponovno programiranje PR regije desnom tipkom miša kliknite .rbf file u programeru, a zatim kliknite Promjena PR programiranja File.
- Odaberite .rbf files druge dvije osobe da promatraju ponašanje na ploči. Učitavanje blinking_led_default.pr_partition.rbf file uzrokuje treptanje LED dioda izvornom frekvencijom i učitava blinking_led_empty.pr_partition.rbf file uzrokuje da LED diode ostanu UKLJUČENE. 17. Za promjenu SUPR logike, ponovite korak 7 iznad za odabir impl_blinking_led_supr_new.sof. Nakon promjene ovoga file, LED [0:1] sada treperi brže nego prije. Drugi PR .rbf files također su kompatibilni s novim .sof.
Bilješka: Asembler generira .rbf file za regiju SUPR. Međutim, ne biste trebali koristiti ovo file za reprogramiranje FPGA tijekom izvođenja jer SUPR particija ne instancira most za zamrzavanje, kontroler PR regije i drugu logiku u cjelokupnom sustavu. Kada napravite promjene u logici SUPR particije, morate reprogramirati puni .sof file iz kompilacije revizije implementacije SUPR-a.
Slika 12. Programiranje razvojne ploče
1.5.9.1. Rješavanje problema PR programskih pogrešaka
Osiguravanje ispravnog postavljanja Intel Quartus Prime programera i povezanog hardvera pomaže u izbjegavanju bilo kakvih pogrešaka tijekom PR programiranja.
Ako se suočite s pogreškama u programiranju PR-a, pogledajte "Rješavanje problema s greškama u programiranju PR-a" u korisničkom priručniku Intel Quartus Prime Pro Edition: Djelomična rekonfiguracija za korak-po-korak savjete za rješavanje problema.
Povezane informacije
Rješavanje problema PR programskih pogrešaka
1.5.10. Izmjena SUPR particije
Možete modificirati postojeću SUPR particiju. Nakon izmjene SUPR particije, morate je prevesti, generirati .sof file, i programirajte ploču, bez kompajliranja drugih osoba. Na primjerample, slijedite ove korake da promijenite modul top_counter_fast.sv da broji brže:
- Postavite impl_blinking_led_supr_new kao trenutnu reviziju.
- U top_counter_fast.sv file, zamijenite naredbu count_d + 2 s count_d + 4.
- Izvedite sljedeće naredbe za ponovno sintetiziranje SUPR bloka i generiranje novog .sof file: quartus_sh –flow compile blinking_led \ -c impl_blinking_led_supr_new
Rezultirajući .sof sada sadrži novu SUPR regiju i koristi blinking_led za zadanu (power-on) osobu.
1.6. Povijest revizija dokumenta AN 987: Statično ažuriranje, djelomična rekonfiguracija, vodič, povijest revizija
| Verzija dokumenta | Intel Quartus Prime verzija | Promjene |
| 2022.10.24 | 22. | Početno izdanje dokumenta. |
Ažurirano za Intel® Quartus®Prime Design Suite: 22.3
Odgovori na najčešća pitanja:
Pošaljite povratne informacije
P Što je statičko ažuriranje, djelomična rekonfiguracija
Djelomična rekonfiguracija statičkog ažuriranja na stranici 3
P Što mi je potrebno za ovaj vodič?
Zahtjevi za tutorial na stranici 3
P Gdje mogu dobiti referentni dizajn?
Referentni dizajn za preuzimanje Files na stranici 5
P Kako mogu izraditi SUPR dizajn?
Vodič kroz referentni dizajn na stranici 6
P Što je PR osoba?
Definirajte osobe na stranici 10
P Kako mogu promijeniti SUPR logiku? A Promijenite SUPR logiku na stranici 16
A Promijenite SUPR logiku na stranici 16
P Kako mogu programirati ploču?
A Programirajte ploču na stranici 18
P. Koji su poznati problemi i ograničenja PR-a?
Forumi podrške za Intel FPGA: PR
Internetska inačica
Pošaljite povratne informacije
ID: 749443
AN-987
Verzija: 2022.10.24
Dokumenti / Resursi
![]() |
intel Agilex F-serija FPGA razvojna ploča [pdf] Korisnički priručnik Agilex F-serija, Agilex F-serija FPGA razvojna ploča, FPGA razvojna ploča, razvojna ploča, ploča |
